tests: load ivi-shell test plugins as weston module

It is better to load ivi controller modules as a
generic weston module. Then, we do not need to
have a specific ivi way of loading modules.

Signed-off-by: Emre Ucan <eucan@de.adit-jv.com>
Reviewed-by: Pekka Paalanen <pekka.paalanen@collabora.co.uk>
dev
Emre Ucan 7 years ago committed by Pekka Paalanen
parent ffaf09eb2f
commit 0707b0e5d4
  1. 21
      tests/ivi_layout-internal-test.c
  2. 20
      tests/ivi_layout-test-plugin.c
  3. 3
      tests/weston-tests-env

@ -33,6 +33,7 @@
#include <stdint.h>
#include "compositor.h"
#include "compositor/weston.h"
#include "ivi-shell/ivi-layout-export.h"
#include "ivi-shell/ivi-layout-private.h"
#include "ivi-test.h"
@ -991,24 +992,18 @@ run_internal_tests(void *data)
free(ctx);
}
int
controller_module_init(struct weston_compositor *compositor,
int *argc, char *argv[],
const struct ivi_layout_interface *iface,
size_t iface_version);
WL_EXPORT int
controller_module_init(struct weston_compositor *compositor,
int *argc, char *argv[],
const struct ivi_layout_interface *iface,
size_t iface_version)
wet_module_init(struct weston_compositor *compositor,
int *argc, char *argv[])
{
struct wl_event_loop *loop;
struct test_context *ctx;
const struct ivi_layout_interface *iface;
iface = ivi_layout_get_api(compositor);
/* strict check, since this is an internal test module */
if (iface_version != sizeof(*iface)) {
weston_log("fatal: controller interface mismatch\n");
if (!iface) {
weston_log("fatal: cannot use ivi_layout_interface.\n");
return -1;
}

@ -217,25 +217,19 @@ idle_launch_client(void *data)
weston_watch_process(&launcher->process);
}
int
controller_module_init(struct weston_compositor *compositor,
int *argc, char *argv[],
const struct ivi_layout_interface *iface,
size_t iface_version);
WL_EXPORT int
controller_module_init(struct weston_compositor *compositor,
int *argc, char *argv[],
const struct ivi_layout_interface *iface,
size_t iface_version)
wet_module_init(struct weston_compositor *compositor,
int *argc, char *argv[])
{
struct wl_event_loop *loop;
struct test_launcher *launcher;
const char *path;
const struct ivi_layout_interface *iface;
iface = ivi_layout_get_api(compositor);
/* strict check, since this is an internal test module */
if (iface_version != sizeof(*iface)) {
weston_log("fatal: controller interface mismatch\n");
if (!iface) {
weston_log("fatal: cannot use ivi_layout_interface.\n");
return -1;
}

@ -47,8 +47,7 @@ case $TEST_FILE in
--config=$abs_builddir/tests/weston-ivi.ini \
--shell=$SHELL_PLUGIN \
--socket=test-${TEST_NAME} \
--modules=$TEST_PLUGIN \
--ivi-module=$MODDIR/${TEST_FILE/.la/.so} \
--modules=$TEST_PLUGIN,$MODDIR/${TEST_FILE/.la/.so}\
--log="$SERVERLOG" \
&> "$OUTLOG"
;;

Loading…
Cancel
Save